Skip to content

Commit 15d1e71

Browse files
GitHub ActionsGitHub Actions
authored andcommitted
update 8f2405c
0 parents  commit 15d1e71

File tree

3,315 files changed

+2205913
-0
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

3,315 files changed

+2205913
-0
lines changed

.buildinfo

Lines changed: 4 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,4 @@
1+
# Sphinx build info version 1
2+
# This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done.
3+
config: b6f34b4f7c617eed4a3f8b454fdf78e2
4+
tags: 645f666f9bcd5a90fca523b33c5a78b7

.nojekyll

Whitespace-only changes.

Overview/Osvvm1About.html

Lines changed: 242 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,242 @@
1+
<!DOCTYPE html>
2+
<html class="writer-html5" lang="en" >
3+
<head>
4+
<meta charset="utf-8" /><meta name="viewport" content="width=device-width, initial-scale=1" />
5+
6+
<meta name="viewport" content="width=device-width, initial-scale=1.0" />
7+
<title>About OSVVM &mdash; OSVVM Documentation 2022.03 documentation</title>
8+
<link rel="stylesheet" href="../_static/pygments.css" type="text/css" />
9+
<link rel="stylesheet" href="../_static/css/theme.css" type="text/css" />
10+
<link rel="stylesheet" href="../_static/theme_overrides.css" type="text/css" />
11+
<!--[if lt IE 9]>
12+
<script src="../_static/js/html5shiv.min.js"></script>
13+
<![endif]-->
14+
15+
<script src="../_static/documentation_options.js?v=ec4c5e3f"></script>
16+
<script src="../_static/doctools.js?v=888ff710"></script>
17+
<script src="../_static/sphinx_highlight.js?v=dc90522c"></script>
18+
<script src="../_static/js/theme.js"></script>
19+
<link rel="index" title="Index" href="../genindex.html" />
20+
<link rel="search" title="Search" href="../search.html" />
21+
<link rel="next" title="Getting Started with OSVVM" href="Osvvm2GettingStarted.html" />
22+
<link rel="prev" title="OSVVM Table Of Contents" href="../index.html" />
23+
</head>
24+
25+
<body class="wy-body-for-nav">
26+
<div class="wy-grid-for-nav">
27+
<nav data-toggle="wy-nav-shift" class="wy-nav-side">
28+
<div class="wy-side-scroll">
29+
<div class="wy-side-nav-search" >
30+
<a href="../index.html" class="icon icon-home"> OSVVM Documentation
31+
</a>
32+
<div class="version">
33+
2022.03
34+
</div>
35+
<div role="search">
36+
<form id="rtd-search-form" class="wy-form" action="../search.html" method="get">
37+
<input type="text" name="q" placeholder="Search docs" />
38+
<input type="hidden" name="check_keywords" value="yes" />
39+
<input type="hidden" name="area" value="default" />
40+
</form>
41+
</div>
42+
</div><div class="wy-menu wy-menu-vertical" data-spy="affix" role="navigation" aria-label="Navigation menu">
43+
<p class="caption" role="heading"><span class="caption-text">OSVVM Overview</span></p>
44+
<ul class="current">
45+
<li class="toctree-l1 current"><a class="current reference internal" href="#">About OSVVM</a></li>
46+
<li class="toctree-l1"><a class="reference internal" href="Osvvm2GettingStarted.html">Getting Started with OSVVM</a></li>
47+
<li class="toctree-l1"><a class="reference internal" href="Osvvm3Reports.html">OSVVM Reports</a></li>
48+
<li class="toctree-l1"><a class="reference internal" href="Osvvm4VerificationFramework.html">Structured Testbench Framework</a></li>
49+
<li class="toctree-l1"><a class="reference internal" href="Osvvm5UtilityLibrary.html">VHDL Utility Library</a></li>
50+
<li class="toctree-l1"><a class="reference internal" href="Osvvm6ScriptLibrary.html">Scripting Library</a></li>
51+
<li class="toctree-l1"><a class="reference internal" href="Osvvm7VerificationComponentLibrary.html">OSVVM Verification Component Library</a></li>
52+
</ul>
53+
<p class="caption" role="heading"><span class="caption-text">Appendix</span></p>
54+
<ul>
55+
<li class="toctree-l1"><a class="reference internal" href="../genindex.html">Index</a></li>
56+
</ul>
57+
58+
</div>
59+
</div>
60+
</nav>
61+
62+
<section data-toggle="wy-nav-shift" class="wy-nav-content-wrap"><nav class="wy-nav-top" aria-label="Mobile navigation menu" >
63+
<i data-toggle="wy-nav-top" class="fa fa-bars"></i>
64+
<a href="../index.html">OSVVM Documentation</a>
65+
</nav>
66+
67+
<div class="wy-nav-content">
68+
<div class="rst-content">
69+
70+
71+
<div role="navigation" aria-label="Page navigation">
72+
<ul class="wy-breadcrumbs">
73+
<li><a href="../index.html" class="icon icon-home"></a> &raquo;</li>
74+
<li>About OSVVM</li>
75+
<li class="wy-breadcrumbs-aside">
76+
<a href="https://github.com/OSVVM/osvvm.github.io/blob/main//Overview/Osvvm1About.rst" class="fa fa-github"> Edit on GitHub</a>
77+
</li>
78+
</ul>
79+
<hr/>
80+
</div>
81+
82+
<div role="main" class="document" itemscope="itemscope" itemtype="http://schema.org/Article">
83+
<div itemprop="articleBody">
84+
85+
<section id="about-osvvm">
86+
<h1>About OSVVM<a class="headerlink" href="#about-osvvm" title="Link to this heading"></a></h1>
87+
<a class="reference external image-reference" href="https://github.com/OSVVM"><img alt="../_images/logo_full_size.png" class="align-center" src="../_images/logo_full_size.png" style="width: 500px;" /></a>
88+
<section id="id1">
89+
<h2>About OSVVM<a class="headerlink" href="#id1" title="Link to this heading"></a></h2>
90+
<p>OSVVM is an advanced verification methodology that
91+
defines a VHDL verification framework, verification utility library,
92+
verification component library, scripting API, and co-simulation capability
93+
that simplifies your FPGA or ASIC verification project from start to finish.
94+
Using these libraries you can create a simple, readable,
95+
and powerful testbench that will boost productivity for either
96+
low level block tests (unit tests) or complex FPGA and ASIC tests.</p>
97+
<p>OSVVM is developed by the same VHDL experts who
98+
have helped develop VHDL standards.
99+
We have used our expert VHDL skills to create
100+
advanced verification capabilities that provide:</p>
101+
<ul class="simple">
102+
<li><p>A structured transaction-based framework using verification components that is suitable for all verification tasks - from Unit/RTL to full chip/system level testing.</p></li>
103+
<li><p>Test cases and verification components that can be written any VHDL Engineer.</p></li>
104+
<li><p>Test cases that are readable and reviewable by the whole team including software and system engineers.</p></li>
105+
<li><p>Unmatched reuse through the entire verification process.</p></li>
106+
<li><p>Unmatched test reporting with HTML based test suite reports, test case reports, and logs that facilitate debug and test artifact collection.</p></li>
107+
<li><p>Support for continuous integration (CI/CD) with JUnit XML test suite reporting.</p></li>
108+
<li><p>Powerful and concise verification capabilities including Constrained Random, Functional Coverage, Scoreboards, FIFOs, Memory Models, error logging and reporting, and message filtering that are simple to use and work like built-in language features.</p></li>
109+
<li><p>A common scripting API to run all simulators - including GHDL, NVC, Aldec Riviera-PRO and ActiveHDL, Siemens Questa and ModelSim, Synopsys VCS, and Cadence Xcelium.</p></li>
110+
<li><p>A Co-simulation capability that supports running software (C++) in a hardware simulation environment.</p></li>
111+
<li><p>A Model Independent Transaction (MIT) library that defines a transaction API (procedures such as read, write, send, get, …) and transaction interface (a record) that simplifies writing verification components and test cases.</p></li>
112+
<li><p>A rival to the verification capabilities of SystemVerilog + UVM.</p></li>
113+
</ul>
114+
<p>Looking to improve your VHDL verification methodology?
115+
OSVVM provides a complete solution for VHDL ASIC or FPGA verification.
116+
There is no new language to learn.
117+
It is simple, powerful, and concise.
118+
Each piece can be used separately.
119+
Hence, you can learn and adopt pieces as you need them.</p>
120+
<p>Important benefits of OSVVM:</p>
121+
<ul class="simple">
122+
<li><dl class="simple">
123+
<dt>Each piece is independent</dt><dd><ul>
124+
<li><p>Add them to your current VHDL testbench incrementally.</p></li>
125+
</ul>
126+
</dd>
127+
</dl>
128+
</li>
129+
<li><dl class="simple">
130+
<dt>Verification Framework (aka. Structured Testbench Framework) that</dt><dd><ul>
131+
<li><p>Is based on transactions and verification components - just like SystemVerilog and SystemC</p></li>
132+
<li><p>Is simple enough to use on small blocks - unlike SystemVerilog</p></li>
133+
<li><p>Is powerful enough to use on large, complex FPGAs and ASICs - like SystemVerilog</p></li>
134+
<li><p>Is so simple that we don’t need a “Lite” or “Easy” approach - unlike SystemVerilog</p></li>
135+
<li><p>Uses transaction calls to write test cases which accelerates their development and simplifies readability.</p></li>
136+
<li><p>Defines a pattern and utilities for verification component (VC) development</p></li>
137+
<li><p>Defines a pattern and utilities for using VHDL records as an interface to connect testbench components</p></li>
138+
<li><p>Defines a common set of Model Independent Transactions (MIT) that can be used for any address bus or streaming interface.</p></li>
139+
<li><p>Facilitates reuse between RTL, Core, and System tests by using the same framework and verification components</p></li>
140+
<li><p>Makes test cases readable by RTL, verification, software, and system engineers</p></li>
141+
</ul>
142+
</dd>
143+
</dl>
144+
</li>
145+
<li><dl class="simple">
146+
<dt>Verification utility library that</dt><dd><ul>
147+
<li><p>Simplifies Self-checking, Error handling, and Message Filtering</p></li>
148+
<li><p>Implements Constrained Random, Functional Coverage, Scoreboards, FIFOs, Memory Models</p></li>
149+
<li><p>Is simple to use and works like built-in language features</p></li>
150+
</ul>
151+
</dd>
152+
</dl>
153+
</li>
154+
<li><dl class="simple">
155+
<dt>Unmatched Test reporting</dt><dd><ul>
156+
<li><p>JUnit XML for use with continuous integration (CI/CD) tools.</p></li>
157+
<li><p>HTML Build Summary Report for reporting test suite level information</p></li>
158+
<li><p>HTML Test Case Detailed report for each test case.</p></li>
159+
<li><p>HTML based Alert, Functional Coverage, and Scoreboard Reports</p></li>
160+
<li><p>HTML based test transcript/log files</p></li>
161+
<li><p>Find and debug issues faster</p></li>
162+
</ul>
163+
</dd>
164+
</dl>
165+
</li>
166+
<li><dl class="simple">
167+
<dt>Verification component library</dt><dd><ul>
168+
<li><p>Free open source verification components for AXI4 Full, AXI4 Lite, AXI Stream, UART, and DPRAM</p></li>
169+
<li><p>More in progress</p></li>
170+
</ul>
171+
</dd>
172+
</dl>
173+
</li>
174+
<li><dl class="simple">
175+
<dt>One Script to Run Simulators</dt><dd><ul>
176+
<li><p>Same script supports GHDL, Aldec Riviera-PRO and ActiveHDL, Siemens QuestaSim and ModelSim, Synopsys VCS, and Cadence Xcelium</p></li>
177+
</ul>
178+
</dd>
179+
</dl>
180+
</li>
181+
<li><dl class="simple">
182+
<dt>Co-simulation</dt><dd><ul>
183+
<li><p>Supports running software (C++) in a hardware simulation environment</p></li>
184+
<li><p>Write test cases in C++</p></li>
185+
<li><p>Run C++ models such as instruction set simulators</p></li>
186+
</ul>
187+
</dd>
188+
</dl>
189+
</li>
190+
<li><dl class="simple">
191+
<dt>Tests and verification components can be written by any VHDL Engineer</dt><dd><ul>
192+
<li><p>While on a project it is good to separate design and verification, our engineering team members should be able to do either.</p></li>
193+
</ul>
194+
</dd>
195+
</dl>
196+
</li>
197+
<li><dl class="simple">
198+
<dt>It is free open source</dt><dd><ul>
199+
<li><p>It upgrades an ordinary VHDL license with full featured verification capabilities.</p></li>
200+
</ul>
201+
</dd>
202+
</dl>
203+
</li>
204+
</ul>
205+
<p>SynthWorks has been using OSVVM for 25+ years in our
206+
training classes and consulting work.
207+
During that time, we have innovated new capabilities
208+
and evolved our existing ones to increase
209+
re-use and reduce effort and time spent.</p>
210+
</section>
211+
</section>
212+
213+
214+
</div>
215+
</div>
216+
<footer><div class="rst-footer-buttons" role="navigation" aria-label="Footer">
217+
<a href="../index.html" class="btn btn-neutral float-left" title="OSVVM Table Of Contents" accesskey="p" rel="prev"><span class="fa fa-arrow-circle-left" aria-hidden="true"></span> Previous</a>
218+
<a href="Osvvm2GettingStarted.html" class="btn btn-neutral float-right" title="Getting Started with OSVVM" accesskey="n" rel="next">Next <span class="fa fa-arrow-circle-right" aria-hidden="true"></span></a>
219+
</div>
220+
221+
<hr/>
222+
223+
<div role="contentinfo">
224+
<p>&#169; Copyright 2022, Jim Lewis Licensed under CC BY-NC-ND 4.0.</p>
225+
</div>Built with <a href="http://sphinx-doc.org/">Sphinx</a> using a
226+
<a href="https://github.com/buildthedocs/sphinx.theme">theme</a>
227+
provided by <a href="https://buildthedocs.github.io">Build the Docs</a>.
228+
229+
230+
</footer>
231+
</div>
232+
</div>
233+
</section>
234+
</div>
235+
<script>
236+
jQuery(function () {
237+
SphinxRtdTheme.Navigation.enable(true);
238+
});
239+
</script>
240+
241+
</body>
242+
</html>

0 commit comments

Comments
 (0)