Skip to content

Commit 5a27ecc

Browse files
committed
Disable coverage in Xilinx primitives
1 parent 77a38cb commit 5a27ecc

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

57 files changed

+135
-8
lines changed

BUFG.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/*verilator coverage_off*/
1213
module BUFG
1314
(
1415
input I,
@@ -18,3 +19,4 @@ module BUFG
1819
assign O = I;
1920

2021
endmodule
22+
/*verilator coverage_on*/

BUFGCE_DIV.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -11,6 +11,7 @@
1111

1212
/* verilator lint_off WIDTH */
1313
/* verilator lint_off SYNCASYNCNET */
14+
/* verilator coverage_off */
1415
module BUFGCE_DIV
1516
#(
1617
parameter integer BUFGCE_DIVIDE = 1,
@@ -98,3 +99,4 @@ module BUFGCE_DIV
9899
endmodule
99100
/* verilator lint_on WIDTH */
100101
/* verilator lint_on SYNCASYNCNET */
102+
/* verilator coverage_off */

BUFG_GT.v

Lines changed: 21 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module BUFG_GT
1314
(
1415
input I,
@@ -18,7 +19,7 @@ module BUFG_GT
1819
input CLR,
1920
input CLRMASK,
2021

21-
output reg O /* verilator clocker */
22+
output O /* verilator clocker */
2223
);
2324

2425
reg [1:0] r_CE_cdc;
@@ -29,6 +30,10 @@ module BUFG_GT
2930
wire w_CLR_msk;
3031

3132
reg [2:0] r_clk_div;
33+
34+
/* verilator lint_off MULTIDRIVEN */
35+
reg r_O;
36+
/* verilator lint_on MULTIDRIVEN */
3237

3338
initial begin
3439
r_CE_cdc = 2'b00;
@@ -81,14 +86,24 @@ module BUFG_GT
8186
end
8287
end
8388

84-
always @(*) begin
89+
always @(posedge I) begin
8590

8691
casez (DIV)
87-
3'b000 : O = I & r_CE_msk;
88-
3'b001 : O = r_clk_div[0];
89-
3'b01? : O = r_clk_div[1];
90-
3'b1?? : O = r_clk_div[2];
92+
3'b000 : r_O <= r_CE_msk;
93+
3'b001 : r_O <= r_clk_div[0];
94+
3'b01? : r_O <= r_clk_div[1];
95+
3'b1?? : r_O <= r_clk_div[2];
9196
endcase
9297
end
9398

99+
always @(negedge I) begin
100+
101+
if (DIV == 3'b000) begin
102+
r_O <= 1'b0;
103+
end
104+
end
105+
106+
assign O = r_O;
107+
94108
endmodule
109+
/* verilator coverage_on */

CARRY4.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module CARRY4
1314
(
1415
// Carry cascade input
@@ -34,3 +35,4 @@ module CARRY4
3435
assign O = S ^ { _w_CO2, _w_CO1, _w_CO0, CI | CYINIT };
3536

3637
endmodule
38+
/* verilator coverage_on */

CARRY8.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module CARRY8
1314
#(
1415
parameter CARRY_TYPE = "SINGLE_CY8" // "SINGLE_CY8", "DUAL_CY4"
@@ -42,3 +43,4 @@ module CARRY8
4243
assign O = S ^ { _w_CO6, _w_CO5, _w_CO4, _w_CI, _w_CO2, _w_CO1, _w_CO0, CI };
4344

4445
endmodule
46+
/* verilator coverage_on */

CFGLUT5.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module CFGLUT5
1314
#(
1415
parameter [31:0] INIT = 32'h00000000,
@@ -61,3 +62,4 @@ module CFGLUT5
6162
assign CDO = _r_sreg[31];
6263

6364
endmodule
65+
/* verilator coverage_on */

FDCE.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module FDCE
1314
#(
1415
parameter [0:0] IS_C_INVERTED = 1'b0,
@@ -65,3 +66,4 @@ module FDCE
6566
assign Q = _r_Q;
6667

6768
endmodule
69+
/* verilator coverage_on */

FDPE.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module FDPE
1314
#(
1415
parameter [0:0] IS_C_INVERTED = 1'b0,
@@ -65,3 +66,4 @@ module FDPE
6566
assign Q = _r_Q;
6667

6768
endmodule
69+
/* verilator coverage_on */

FDRE.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module FDRE
1314
#(
1415
parameter [0:0] IS_C_INVERTED = 1'b0,
@@ -65,3 +66,4 @@ module FDRE
6566
assign Q = _r_Q;
6667

6768
endmodule
69+
/* verilator coverage_on */

FDSE.v

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -9,6 +9,7 @@
99
// License : BSD
1010
//
1111

12+
/* verilator coverage_off */
1213
module FDSE
1314
#(
1415
parameter [0:0] IS_C_INVERTED = 1'b0,
@@ -65,3 +66,4 @@ module FDSE
6566
assign Q = _r_Q;
6667

6768
endmodule
69+
/* verilator coverage_on */

0 commit comments

Comments
 (0)