From 46ef95d886baf8780ca438fcc1d7fbadcebbc4b5 Mon Sep 17 00:00:00 2001 From: Hennadii Chernyshchyk Date: Wed, 13 Aug 2025 10:38:39 +0300 Subject: [PATCH] Use .sv extension Things like strings were added in SystemVerilog. Using these files with other simulators results in syntax errors, unless I mark these files as SystemVerilog explicitly. --- BUFG.v => BUFG.sv | 0 BUFGCE_DIV.v => BUFGCE_DIV.sv | 0 BUFG_GT.v => BUFG_GT.sv | 0 CARRY4.v => CARRY4.sv | 0 CARRY8.v => CARRY8.sv | 0 CFGLUT5.v => CFGLUT5.sv | 0 DSP48E2.v => DSP48E2.sv | 0 FDCE.v => FDCE.sv | 0 FDPE.v => FDPE.sv | 0 FDRE.v => FDRE.sv | 0 FDSE.v => FDSE.sv | 0 FIFO36E2.v => FIFO36E2.sv | 0 GND.v => GND.sv | 0 IBUFDS.v => IBUFDS.sv | 0 IBUFDS_GTE3.v => IBUFDS_GTE3.sv | 0 LDCE.v => LDCE.sv | 0 LDPE.v => LDPE.sv | 0 LUT1.v => LUT1.sv | 0 LUT2.v => LUT2.sv | 0 LUT3.v => LUT3.sv | 0 LUT4.v => LUT4.sv | 0 LUT5.v => LUT5.sv | 0 LUT5_D.v => LUT5_D.sv | 0 LUT5_L.v => LUT5_L.sv | 0 LUT6.v => LUT6.sv | 0 LUT6_2.v => LUT6_2.sv | 0 LUT6_D.v => LUT6_D.sv | 0 LUT6_L.v => LUT6_L.sv | 0 MUXF7.v => MUXF7.sv | 0 MUXF7_D.v => MUXF7_D.sv | 0 MUXF7_L.v => MUXF7_L.sv | 0 MUXF8.v => MUXF8.sv | 0 MUXF8_D.v => MUXF8_D.sv | 0 MUXF8_L.v => MUXF8_L.sv | 0 MUXF9.v => MUXF9.sv | 0 ODDRE1.v => ODDRE1.sv | 0 RAM128X1D.v => RAM128X1D.sv | 0 RAM128X1S.v => RAM128X1S.sv | 0 RAM256X1D.v => RAM256X1D.sv | 0 RAM256X1S.v => RAM256X1S.sv | 0 RAM32M.v => RAM32M.sv | 0 RAM32M16.v => RAM32M16.sv | 0 RAM32X1D.v => RAM32X1D.sv | 0 RAM32X1S.v => RAM32X1S.sv | 0 RAM512X1S.v => RAM512X1S.sv | 0 RAM64M.v => RAM64M.sv | 0 RAM64M8.v => RAM64M8.sv | 0 RAM64X1D.v => RAM64X1D.sv | 0 RAM64X1S.v => RAM64X1S.sv | 0 RAMB16BWER.v => RAMB16BWER.sv | 0 RAMB18E2.v => RAMB18E2.sv | 0 RAMB36E2.v => RAMB36E2.sv | 0 RAMB8BWER.v => RAMB8BWER.sv | 0 SRL16E.v => SRL16E.sv | 0 SRL32E.v => SRL32E.sv | 0 SRLC16E.v => SRLC16E.sv | 0 SRLC32E.v => SRLC32E.sv | 0 VCC.v => VCC.sv | 0 58 files changed, 0 insertions(+), 0 deletions(-) rename BUFG.v => BUFG.sv (100%) rename BUFGCE_DIV.v => BUFGCE_DIV.sv (100%) rename BUFG_GT.v => BUFG_GT.sv (100%) rename CARRY4.v => CARRY4.sv (100%) rename CARRY8.v => CARRY8.sv (100%) rename CFGLUT5.v => CFGLUT5.sv (100%) rename DSP48E2.v => DSP48E2.sv (100%) rename FDCE.v => FDCE.sv (100%) rename FDPE.v => FDPE.sv (100%) rename FDRE.v => FDRE.sv (100%) rename FDSE.v => FDSE.sv (100%) rename FIFO36E2.v => FIFO36E2.sv (100%) rename GND.v => GND.sv (100%) rename IBUFDS.v => IBUFDS.sv (100%) rename IBUFDS_GTE3.v => IBUFDS_GTE3.sv (100%) rename LDCE.v => LDCE.sv (100%) rename LDPE.v => LDPE.sv (100%) rename LUT1.v => LUT1.sv (100%) rename LUT2.v => LUT2.sv (100%) rename LUT3.v => LUT3.sv (100%) rename LUT4.v => LUT4.sv (100%) rename LUT5.v => LUT5.sv (100%) rename LUT5_D.v => LUT5_D.sv (100%) rename LUT5_L.v => LUT5_L.sv (100%) rename LUT6.v => LUT6.sv (100%) rename LUT6_2.v => LUT6_2.sv (100%) rename LUT6_D.v => LUT6_D.sv (100%) rename LUT6_L.v => LUT6_L.sv (100%) rename MUXF7.v => MUXF7.sv (100%) rename MUXF7_D.v => MUXF7_D.sv (100%) rename MUXF7_L.v => MUXF7_L.sv (100%) rename MUXF8.v => MUXF8.sv (100%) rename MUXF8_D.v => MUXF8_D.sv (100%) rename MUXF8_L.v => MUXF8_L.sv (100%) rename MUXF9.v => MUXF9.sv (100%) rename ODDRE1.v => ODDRE1.sv (100%) rename RAM128X1D.v => RAM128X1D.sv (100%) rename RAM128X1S.v => RAM128X1S.sv (100%) rename RAM256X1D.v => RAM256X1D.sv (100%) rename RAM256X1S.v => RAM256X1S.sv (100%) rename RAM32M.v => RAM32M.sv (100%) rename RAM32M16.v => RAM32M16.sv (100%) rename RAM32X1D.v => RAM32X1D.sv (100%) rename RAM32X1S.v => RAM32X1S.sv (100%) rename RAM512X1S.v => RAM512X1S.sv (100%) rename RAM64M.v => RAM64M.sv (100%) rename RAM64M8.v => RAM64M8.sv (100%) rename RAM64X1D.v => RAM64X1D.sv (100%) rename RAM64X1S.v => RAM64X1S.sv (100%) rename RAMB16BWER.v => RAMB16BWER.sv (100%) rename RAMB18E2.v => RAMB18E2.sv (100%) rename RAMB36E2.v => RAMB36E2.sv (100%) rename RAMB8BWER.v => RAMB8BWER.sv (100%) rename SRL16E.v => SRL16E.sv (100%) rename SRL32E.v => SRL32E.sv (100%) rename SRLC16E.v => SRLC16E.sv (100%) rename SRLC32E.v => SRLC32E.sv (100%) rename VCC.v => VCC.sv (100%) diff --git a/BUFG.v b/BUFG.sv similarity index 100% rename from BUFG.v rename to BUFG.sv diff --git a/BUFGCE_DIV.v b/BUFGCE_DIV.sv similarity index 100% rename from BUFGCE_DIV.v rename to BUFGCE_DIV.sv diff --git a/BUFG_GT.v b/BUFG_GT.sv similarity index 100% rename from BUFG_GT.v rename to BUFG_GT.sv diff --git a/CARRY4.v b/CARRY4.sv similarity index 100% rename from CARRY4.v rename to CARRY4.sv diff --git a/CARRY8.v b/CARRY8.sv similarity index 100% rename from CARRY8.v rename to CARRY8.sv diff --git a/CFGLUT5.v b/CFGLUT5.sv similarity index 100% rename from CFGLUT5.v rename to CFGLUT5.sv diff --git a/DSP48E2.v b/DSP48E2.sv similarity index 100% rename from DSP48E2.v rename to DSP48E2.sv diff --git a/FDCE.v b/FDCE.sv similarity index 100% rename from FDCE.v rename to FDCE.sv diff --git a/FDPE.v b/FDPE.sv similarity index 100% rename from FDPE.v rename to FDPE.sv diff --git a/FDRE.v b/FDRE.sv similarity index 100% rename from FDRE.v rename to FDRE.sv diff --git a/FDSE.v b/FDSE.sv similarity index 100% rename from FDSE.v rename to FDSE.sv diff --git a/FIFO36E2.v b/FIFO36E2.sv similarity index 100% rename from FIFO36E2.v rename to FIFO36E2.sv diff --git a/GND.v b/GND.sv similarity index 100% rename from GND.v rename to GND.sv diff --git a/IBUFDS.v b/IBUFDS.sv similarity index 100% rename from IBUFDS.v rename to IBUFDS.sv diff --git a/IBUFDS_GTE3.v b/IBUFDS_GTE3.sv similarity index 100% rename from IBUFDS_GTE3.v rename to IBUFDS_GTE3.sv diff --git a/LDCE.v b/LDCE.sv similarity index 100% rename from LDCE.v rename to LDCE.sv diff --git a/LDPE.v b/LDPE.sv similarity index 100% rename from LDPE.v rename to LDPE.sv diff --git a/LUT1.v b/LUT1.sv similarity index 100% rename from LUT1.v rename to LUT1.sv diff --git a/LUT2.v b/LUT2.sv similarity index 100% rename from LUT2.v rename to LUT2.sv diff --git a/LUT3.v b/LUT3.sv similarity index 100% rename from LUT3.v rename to LUT3.sv diff --git a/LUT4.v b/LUT4.sv similarity index 100% rename from LUT4.v rename to LUT4.sv diff --git a/LUT5.v b/LUT5.sv similarity index 100% rename from LUT5.v rename to LUT5.sv diff --git a/LUT5_D.v b/LUT5_D.sv similarity index 100% rename from LUT5_D.v rename to LUT5_D.sv diff --git a/LUT5_L.v b/LUT5_L.sv similarity index 100% rename from LUT5_L.v rename to LUT5_L.sv diff --git a/LUT6.v b/LUT6.sv similarity index 100% rename from LUT6.v rename to LUT6.sv diff --git a/LUT6_2.v b/LUT6_2.sv similarity index 100% rename from LUT6_2.v rename to LUT6_2.sv diff --git a/LUT6_D.v b/LUT6_D.sv similarity index 100% rename from LUT6_D.v rename to LUT6_D.sv diff --git a/LUT6_L.v b/LUT6_L.sv similarity index 100% rename from LUT6_L.v rename to LUT6_L.sv diff --git a/MUXF7.v b/MUXF7.sv similarity index 100% rename from MUXF7.v rename to MUXF7.sv diff --git a/MUXF7_D.v b/MUXF7_D.sv similarity index 100% rename from MUXF7_D.v rename to MUXF7_D.sv diff --git a/MUXF7_L.v b/MUXF7_L.sv similarity index 100% rename from MUXF7_L.v rename to MUXF7_L.sv diff --git a/MUXF8.v b/MUXF8.sv similarity index 100% rename from MUXF8.v rename to MUXF8.sv diff --git a/MUXF8_D.v b/MUXF8_D.sv similarity index 100% rename from MUXF8_D.v rename to MUXF8_D.sv diff --git a/MUXF8_L.v b/MUXF8_L.sv similarity index 100% rename from MUXF8_L.v rename to MUXF8_L.sv diff --git a/MUXF9.v b/MUXF9.sv similarity index 100% rename from MUXF9.v rename to MUXF9.sv diff --git a/ODDRE1.v b/ODDRE1.sv similarity index 100% rename from ODDRE1.v rename to ODDRE1.sv diff --git a/RAM128X1D.v b/RAM128X1D.sv similarity index 100% rename from RAM128X1D.v rename to RAM128X1D.sv diff --git a/RAM128X1S.v b/RAM128X1S.sv similarity index 100% rename from RAM128X1S.v rename to RAM128X1S.sv diff --git a/RAM256X1D.v b/RAM256X1D.sv similarity index 100% rename from RAM256X1D.v rename to RAM256X1D.sv diff --git a/RAM256X1S.v b/RAM256X1S.sv similarity index 100% rename from RAM256X1S.v rename to RAM256X1S.sv diff --git a/RAM32M.v b/RAM32M.sv similarity index 100% rename from RAM32M.v rename to RAM32M.sv diff --git a/RAM32M16.v b/RAM32M16.sv similarity index 100% rename from RAM32M16.v rename to RAM32M16.sv diff --git a/RAM32X1D.v b/RAM32X1D.sv similarity index 100% rename from RAM32X1D.v rename to RAM32X1D.sv diff --git a/RAM32X1S.v b/RAM32X1S.sv similarity index 100% rename from RAM32X1S.v rename to RAM32X1S.sv diff --git a/RAM512X1S.v b/RAM512X1S.sv similarity index 100% rename from RAM512X1S.v rename to RAM512X1S.sv diff --git a/RAM64M.v b/RAM64M.sv similarity index 100% rename from RAM64M.v rename to RAM64M.sv diff --git a/RAM64M8.v b/RAM64M8.sv similarity index 100% rename from RAM64M8.v rename to RAM64M8.sv diff --git a/RAM64X1D.v b/RAM64X1D.sv similarity index 100% rename from RAM64X1D.v rename to RAM64X1D.sv diff --git a/RAM64X1S.v b/RAM64X1S.sv similarity index 100% rename from RAM64X1S.v rename to RAM64X1S.sv diff --git a/RAMB16BWER.v b/RAMB16BWER.sv similarity index 100% rename from RAMB16BWER.v rename to RAMB16BWER.sv diff --git a/RAMB18E2.v b/RAMB18E2.sv similarity index 100% rename from RAMB18E2.v rename to RAMB18E2.sv diff --git a/RAMB36E2.v b/RAMB36E2.sv similarity index 100% rename from RAMB36E2.v rename to RAMB36E2.sv diff --git a/RAMB8BWER.v b/RAMB8BWER.sv similarity index 100% rename from RAMB8BWER.v rename to RAMB8BWER.sv diff --git a/SRL16E.v b/SRL16E.sv similarity index 100% rename from SRL16E.v rename to SRL16E.sv diff --git a/SRL32E.v b/SRL32E.sv similarity index 100% rename from SRL32E.v rename to SRL32E.sv diff --git a/SRLC16E.v b/SRLC16E.sv similarity index 100% rename from SRLC16E.v rename to SRLC16E.sv diff --git a/SRLC32E.v b/SRLC32E.sv similarity index 100% rename from SRLC32E.v rename to SRLC32E.sv diff --git a/VCC.v b/VCC.sv similarity index 100% rename from VCC.v rename to VCC.sv