Skip to content

Commit da27593

Browse files
authored
Merge pull request #420 from os-fpga/rtl_update
removed 2D array for adder_tree rtl
2 parents d6b92b3 + 7fd0bde commit da27593

File tree

1 file changed

+6
-6
lines changed
  • RTL_testcases/RTL_Benchmarks_Gap_Analysis/adder_tree/rtl

1 file changed

+6
-6
lines changed

RTL_testcases/RTL_Benchmarks_Gap_Analysis/adder_tree/rtl/adder_tree.sv

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -3,10 +3,10 @@
33

44
// DELAY = $clog2(N)
55
(* multstyle = "dsp" *) module adder_tree #(parameter
6-
N = 32, DATA_WIDTH = 33, RESULT_WIDTH = ((N-1) < 2**$clog2(N)) ? DATA_WIDTH + $clog2(N) : DATA_WIDTH + $clog2(N) + 1
6+
N = 32, DATA_WIDTH = (33*N), RESULT_WIDTH = ((N-1) < 2**$clog2(N)) ? DATA_WIDTH + $clog2(N) : DATA_WIDTH + $clog2(N) + 1
77
)(
88
input clock, clock_ena,
9-
input signed [DATA_WIDTH-1:0] data[N-1:0],
9+
input signed [DATA_WIDTH-1:0] data,
1010
output signed [RESULT_WIDTH-1:0] result
1111
);
1212
generate
@@ -18,7 +18,7 @@
1818
localparam RES_WIDTH = (RESULT_WIDTH > DATA_WIDTH + 1) ? DATA_WIDTH + 1 : RESULT_WIDTH;
1919
localparam RESULTS = (N % 2 == 0) ? N/2 : N/2 + 1;
2020

21-
wire signed [RES_WIDTH-1:0] res[RESULTS - 1:0];
21+
wire signed [RES_WIDTH-1:0] res;
2222

2323
add_pairs #(.N(N), .DATA_WIDTH(DATA_WIDTH), .RESULT_WIDTH(RES_WIDTH))
2424
add_pairs_inst(.clock(clock), .clock_ena(clock_ena), .data(data), .result(res));
@@ -35,8 +35,8 @@ module add_pairs #(parameter
3535
N = 32, DATA_WIDTH = 18, RESULT_WIDTH = DATA_WIDTH + 1, RESULTS = (N % 2 == 0) ? N/2 : N/2 + 1
3636
)(
3737
input clock, clock_ena,
38-
input signed [DATA_WIDTH-1:0] data[N - 1:0],
39-
output signed [RESULT_WIDTH-1:0] result[RESULTS - 1:0]
38+
input signed [DATA_WIDTH-1:0] data,
39+
output signed [RESULT_WIDTH-1:0] result
4040
);
4141
genvar i;
4242

@@ -67,7 +67,7 @@ module add #(parameter
6767
input clock, clock_ena,
6868
input signed [DATAA_WIDTH-1:0] dataa,
6969
input signed [DATAB_WIDTH-1:0] datab,
70-
output reg signed [RESULT_WIDTH-1:0] result
70+
output reg signed [RESULT_WIDTH-1:0] result = 0
7171
);
7272
always_ff @(posedge clock)
7373
if (clock_ena)

0 commit comments

Comments
 (0)