-
Notifications
You must be signed in to change notification settings - Fork 824
Implementation of CVA6 on Xilinx ultrascal VCU118 #3044
New issue
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
base: master
Are you sure you want to change the base?
Changes from 1 commit
File filter
Filter by extension
Conversations
Jump to
Diff view
Diff view
There are no files selected for viewing
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -1,5 +1,5 @@ | ||
VIVADO ?= vivado | ||
VIVADOFLAGS ?= -nojournal -mode batch -source scripts/prologue.tcl | ||
There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. We want to build the bitstream in batch by default. Does your build work in batch as well ? There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. This can remain local update for your dev/tests. |
||
VIVADOFLAGS ?= -nojournal -mode gui -source scripts/prologue.tcl | ||
|
||
work-dir := work-fpga | ||
bit := $(work-dir)/ariane_xilinx.bit | ||
|
@@ -12,7 +12,19 @@ ips := xlnx_axi_clock_converter.xci \ | |
xlnx_axi_quad_spi.xci \ | ||
xlnx_axi_gpio.xci \ | ||
xlnx_clk_gen.xci \ | ||
xlnx_mig_7_ddr3.xci | ||
xlnx_mig_ddr4.xci \ | ||
xlnx_axi_dwidth_converter_512_64.xci | ||
|
||
ips_standard := xlnx_axi_clock_converter.xci \ | ||
xlnx_axi_dwidth_converter.xci \ | ||
xlnx_axi_quad_spi.xci \ | ||
xlnx_axi_gpio.xci \ | ||
xlnx_clk_gen.xci | ||
|
||
ips_ddr3 := xlnx_mig_7_ddr3.xci | ||
|
||
ips_ddr4 := xlnx_mig_ddr4.xci \ | ||
xlnx_axi_dwidth_converter_512_64.xci | ||
Comment on lines
-15
to
+27
There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. Are the new variable used ? It looks like you change the default behaviour genesys2, we do not want that. There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. You can use the BOARD option to execute the section according to the desired configuration. |
||
|
||
ips := $(addprefix $(work-dir)/, $(ips)) | ||
ips-target := $(join $(addsuffix /ip/, $(addprefix $(ip-dir)/, $(basename $(ips)))), $(ips)) | ||
|
Large diffs are not rendered by default.
Original file line number | Diff line number | Diff line change |
---|---|---|
|
@@ -24,12 +24,16 @@ if {$::env(BOARD) eq "genesys2"} { | |
add_files -fileset constrs_1 -norecurse constraints/vc707.xdc | ||
} elseif {$::env(BOARD) eq "nexys_video"} { | ||
add_files -fileset constrs_1 -norecurse constraints/nexys_video.xdc | ||
} elseif {$::env(BOARD) eq "vcu118"} { | ||
add_files -fileset constrs_1 -norecurse constraints/vcu118.xdc | ||
} else { | ||
exit 1 | ||
} | ||
|
||
read_ip { \ | ||
"xilinx/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.srcs/sources_1/ip/xlnx_mig_7_ddr3/xlnx_mig_7_ddr3.xci" \ | ||
"xilinx/xlnx_axi_dwidth_converter_512_64/xlnx_axi_dwidth_converter_512_64.srcs/sources_1/ip/xlnx_axi_dwidth_converter_512_64/xlnx_axi_dwidth_converter_512_64.xci" \ | ||
"xilinx/xlnx_mig_ddr4/xlnx_mig_ddr4.srcs/sources_1/ip/xlnx_mig_ddr4/xlnx_mig_ddr4.xci" \ | ||
Comment on lines
-32
to
+35
There was a problem hiding this comment. Choose a reason for hiding this commentThe reason will be displayed to describe this comment to others. Learn more. Same comment, no change of default behaviour for genesys2. |
||
|
||
"xilinx/xlnx_axi_clock_converter/xlnx_axi_clock_converter.srcs/sources_1/ip/xlnx_axi_clock_converter/xlnx_axi_clock_converter.xci" \ | ||
"xilinx/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.srcs/sources_1/ip/xlnx_axi_dwidth_converter/xlnx_axi_dwidth_converter.xci" \ | ||
"xilinx/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.srcs/sources_1/ip/xlnx_axi_dwidth_converter_dm_slave/xlnx_axi_dwidth_converter_dm_slave.xci" \ | ||
|
@@ -69,6 +73,10 @@ if {$::env(BOARD) eq "genesys2"} { | |
read_verilog -sv {src/nexys_video.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh} | ||
set file "src/nexys_video.svh" | ||
set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh" | ||
} elseif {$::env(BOARD) eq "vcu118"} { | ||
read_verilog -sv {src/vcu118.svh ../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh} | ||
set file "src/vcu118.svh" | ||
set registers "../../vendor/pulp-platform/common_cells/include/common_cells/registers.svh" | ||
} else { | ||
exit 1 | ||
} | ||
|
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
We want to keep genesys2 as the default board.
There was a problem hiding this comment.
Choose a reason for hiding this comment
The reason will be displayed to describe this comment to others. Learn more.
To use a different board, you can just add BOARD option:
make fpga BOARD=vcu118
This file update must be removed.